Fomentando um ecossistema de chiplet para o futuro da lei de Moore

O próximo passo é um ecossistema de chiplet aberto e uma interconexão onipresente no nível do pacote.

Kurt Lender
Estrategista da equipe de soluções de tecnologia do IO

Para satisfazer a crescente demanda por mais poder computacional, a Intel e muitos de nossos colegas da indústria de semicondutores chegaram à mesma conclusão: O futuro da inovação de chips está na mudança para projetos modulares baseados em blocos de construção "chiplet", passando de arquiteturas de chips System-on-Chip (SoC) para System-on-Package (SoP).

A viabilidade da implementação de sistemas complexos em matrizes monolíticas está atingindo seus limites físicos e econômicos. Gordon Moore previu este "Dia do Acerto de Contas" em seu white paper de 1965, "Cramming More Components On Integrated Circuits", escrevendo que, à medida que a densidade e a complexidade dos chips progrediam, eventualmente "poderia ser mais econômico construir grandes sistemas a partir de funções menores, que são embalados separadamente e interconectados".

A crescente adoção da indústria de design modular de semicondutores nos leva ao reino do SoP, dando aos arquitetos uma flexibilidade incrível para misturar e combinar as melhores tecnologias de IP e processo para qualquer produto. A Intel vem fornecendo designs baseados em chiplet desde 2016 com FPGAs Intel® Stratix10®. O design modular também é um componente-chave da nossa estratégia IDM 2.0, pois usamos recursos de fundição interna e externa para construir nossos produtos, oferecendo nossos serviços de fundição para o setor. Ainda este ano, nossos clientes verão nossos processadores baseados em telhas de última geração nos SoCs Sapphire Rapids e Ponte Vecchio. O sucesso deste futuro no design de semicondutores depende da falta de padrões abertos para permitir a interoperabilidade em toda a cadeia de fornecimento de semicondutores.

Em sua expressão mais completa, o SoP, arquiteturas baseadas em chiplet permitem que os designers reúnam tecnologias de IP de design e processos de vários fornecedores. Mas esse nível de modularidade e liberdade de design só funcionará se os designers estiverem trabalhando a partir de hardware padronizado e interoperável. Vimos essa abordagem funcionar várias vezes com especificações do setor, incluindo PCIe, CXL e USB. A melhor maneira de obter hardware padronizado em vários fornecedores é definir uma especificação única e aberta para a qual todos possam projetar.

É por isso que esta semana, a Intel uniu forças com a Advanced Semiconductor Engineering Inc. (ASE), AMD, Arm, Google Cloud, Meta, Microsoft Corp., Qualcomm Inc., Samsung e Taiwan Semiconductor Manufacturing Co. para lançar o consórcio Universal Chiplet Interconnect Express (UCIe). O consórcio UCIe está focado em um único objetivo: criar um ecossistema aberto para permitir que chiplets projetados e fabricados em diferentes tecnologias de processos e por diferentes fornecedores - trabalhem juntos quando integrados com tecnologias avançadas de embalagem.

Mais: Líderes do setor promovem ecossistema aberto para design baseado em chiplet

Os chiplets dão aos designers maior flexibilidade, abrem novas fronteiras para reutilização e permitem a inovação sobre preço, desempenho e consumo de energia em todo o contínuo da computação. Moore previu este dia. Agora, acreditamos que os chiplets são a chave para estender a Lei de Moore para a próxima década e além. Nossos colegas do consórcio concordam. E chegaremos ao próximo conjunto de avanços computacionais mais rápido se começarmos por estabelecer uma especificação bem definida. Com a experiência da Intel na construção desses sistemas, conseguimos doar uma especificação madura para o consórcio que nos deu um ponto de partida. Após o feedback dos outros membros fundadores, nosso grupo de trabalho rapidamente ratificou uma especificação 1.0 que funciona para todos.

A adesão ao Consórcio UCIe está aberta a todas as empresas do setor que desejam ajudar a melhorar a especificação da UCIe. Esperamos uma rápida captação entre nossos colegas na cadeia de valor do silício – e incentivamos a participação de todo o setor nas futuras especificações da UCIe.

Mudar para uma arquitetura de chiplet trará outros benefícios para a indústria, também. Os clientes poderão aproveitar diferentes fabricantes com mais facilidade para qualquer componente de suas soluções, motivando os fabricantes a entregar novos níveis de qualidade, preço e atendimento ao cliente. A competição ocorrerá em igualdade de condições, onde produtos e serviços são os diferenciais, não ecossistemas artificialmente restritos ou incumbência tecnológica.

A UCIe é um componente crítico da estratégia IDM 2.0 da Intel. Essa especificação se baseia no padrão AIB (ônibus avançado de interface avançada, na sigla em inglês) da Intel para permitir flexibilidade sem precedentes, uma maneira rápida e econômica de fornecer soluções e a capacidade de usar o chiplet certo para o trabalho, independentemente de quem o faça. Daqui para frente, você verá mais projetos SoP que apresentam silício Intel ao lado de chiplets de outras fundições. É uma nova era de arquitetura de semicondutores que coloca os designers no controle e continua a visão de Moore de dobrar o poder da computação bem no futuro previsível. Não poderíamos estar mais orgulhosos em ajudar a dar vida a esse ecossistema aberto.

Para saber mais sobre a especificação UCIe, baixe uma licença de avaliação ou torne-se membro, acesse o site do consórcio UCIe.

Kurt Lender é estrategista da equipe de soluções de tecnologia da IO no Datacenter e AI Group da Intel Corporation.