Lei de Moore – Agora e no Futuro

A Lei de Moore sempre foi sobre inovação e podemos dizer com confiança que a inovação continua inabalável.

Por Dr. Ann Kelleher

Vice-Presidente Executivo e Gerente Geral de Desenvolvimento Tecnológico

Figura 1: Gráfico original de "Amontoando mais componentes em circuitos integrados" 1

Em 1965, o co-fundador da Intel Gordon Moore previu que o número de transistores em um chip dobraria aproximadamente a cada dois anos, com um aumento mínimo no custo 1. Esta previsão ficou conhecida como Lei de Moore e é retratada na Figura 1. Quanto mais transistores ou componentes em um dispositivo, o custo por unidade é reduzido, enquanto o desempenho por unidade é aumentado.

A taxa de digitalização do mundo aumentou nos últimos dois anos, desencadeada pela pandemia da COVID-19, e essa transição aprimorada foi possibilitada pela indústria de semicondutores e suas inovações.

O CEO da Intel, Pat Gelsinger, comentou: "A tecnologia nunca foi tão importante para a humanidade como é agora. Tudo está se tornando digital, com quatro superpoderes principais." Os superpoderes – computação onipresente, infraestrutura de nuvem à borda, conectividade generalizada e inteligência artificial – estão programados para transcender e transformar o mundo. Neste momento, não vemos fim à demanda por computação, e mais computação continua a pressionar o setor por mais inovação. Por exemplo, o mundo cria cerca de 270.000 petabytes (ou seja, 27 x1019) de dados todos os dias 2. Estamos projetando que até o final desta década, em média, todos nós teremos 1 petaflop (1015 operações de ponto flutuante por segundo) de computação e 1 petabyte de dados a menos de 1 milissegundo de distância 3. Essa demanda por mais e mais poder computacional é o impulso para que a indústria mantenha o ritmo da Lei de Moore.

Mais: Semicondutores Correm o Mundo (Pat Gelsinger Editorial) | Liderando em IA: Uma Abordagem Holística que é Exclusivamente Intel (Sandra Rivera Editorial) | Definindo e Liderando a Borda (Nick McKeown Editorial) | Vantagem de Software da Intel, Decodificado (Greg Lavender Editorial) | Reunião de Investidores Intel 2022 (Kit de Imprensa)

Por mais de 40 anos, os engenheiros da Intel têm inovado continuamente para espremer cada vez mais transistores em chips cada vez menores e manter o ritmo da Lei de Moore. Em meados da década de 2010 a indústria previu que "a Lei de Moore está morta", como já tinha feito outras vezes antes. Parafraseando um famoso ditado americano, sinto que os relatos da morte da Lei de Moore são muito exagerados. A inovação não está morta, e manteremos a Lei de Moore como sempre fizemos, através da inovação – inovação em processo, em embalagens e em arquitetura. Será um desafio como sempre – e a Intel está pronta para o desafio.

Figura 2: Inovações dos transistores ao longo do tempo

Inovação Hoje: Processo

A Intel tem uma longa e rica história de inovações em processos fundamentais em busca da Lei de Moore, como visto na Figura 2. Engenheiros e cientistas da Intel têm enfrentado continuamente — e depois superado — os desafios colocados pela física quando as características de um chip diminuem para o tamanho dos átomos. Com invenções como a tecnologia high-k metal gate, transistores 3D de três portas e silício tenso, a Intel tem consistentemente oferecido tecnologias inovadoras para manter o ritmo conforme a Lei de Moore. No final dos anos 2000, à medida que as dimensões físicas continuavam a encolher, a indústria percebeu que áreas adicionais de inovação, incluindo ciência de materiais, arquitetura de novos processos e co-otimização de tecnologia de design (DTCO, na sigla em inglês), eram necessárias para acompanhar o ritmo.

A próxima grande inovação arquitetônica da Intel é o RibbonFET, nossa implementação do transistor gate-all-around (GAA), chegando com o Intel 20A. RibbonFET representa nossa primeira nova arquitetura de transistor desde o FinFET. RibbonFET oferece velocidades de comutação para transistor mais rápidas com a mesma corrente de acionamento de uma pegada menor. Ao mesmo tempo, também entregamos o PowerVia, a primeira arquitetura de entrega de energia traseira da indústria. Antes, a energia vinha do topo do dado e "competia" com interconexões de sinal. Ao separar energia e sinal, você pode usar as camadas metálicas de forma mais eficaz, pois há menos trocas para fazer. Isso resulta em melhor desempenho. A próxima geração de litografia ultravioleta extrema (EUV, na sigla em inglês), Alta Abertura Numérica ou "High NA", traz mais melhorias na resolução e redução de erros, proporcionando uma redução na complexidade do processo com maior flexibilidade nas regras de design. A Intel está em parceria com a ASML e outros parceiros do ecossistema para ser a primeira a trazer essa tecnologia para um alto volume de produção.

Esses exemplos são apenas o começo. Após a introdução do RibbonFET e powervia com Intel 20A e Intel 18A, novos processos de follow-on já estão em desenvolvimento, proporcionando ganhos adicionais em potência, desempenho e densidade. Esses ganhos são obtidos através de diversas inovações, incluindo melhorias de resistência e capacitância de metais backend, arquitetura de transistores e melhorias na arquitetura da biblioteca. Como dissemos em julho de 2021, à medida que implementamos essas inovações e outras, esperamos alcançar o desempenho do transistor por paridade de watts até 2024 e a liderança até 2025.

Figura 3: Inovações em pacotes ao longo do tempo

Inovação Hoje: Embalagem

O papel da embalagem e a sua contribuição para o dimensionamento da Lei de Moore está evoluindo. Até a década de 2010, o principal papel das embalagens era direcionar energia e sinalização entre a placa-mãe e o silício, e proteger o silício. Cada evolução nessa época – desde pacotes de ligações de arame e quadros de chumbo, até a tecnologia de chip em substratos cerâmicos, até a adoção de substratos orgânicos e a introdução de pacotes multi-chips – trouxe um número maior de conexões. Essas conexões suportavam mais funcionalidade no silício, o que era necessário para a escala necessária da Lei de Moore. A embalagem serviu como veículo através do qual os benefícios da Lei de Moore foram realizados. (Ver Figura 3.)

Seguindo em frente, à medida que entramos na era avançada das embalagens, vemos ganhos na densidade de transistores sendo entregues por nossa embalagem. Até o próprio Gordon entendeu a importância da embalagem e disse o mesmo em seu artigo original: "Pode ser mais econômico construir grandes sistemas a partir de funções menores, que são separadamente embaladas e interconectadas.". 4 À medida que entramos na era avançada das embalagens, essas tecnologias de empilhamento 2D e 3D dão aos arquitetos e designers as ferramentas para aumentar ainda mais o número de transistores por dispositivo e contribuirão para o dimensionamento necessário para a Lei de Moore.

Por exemplo, nossa tecnologia embutida de ponte interconexão multi-die (EMIB, na sigla em inglês) permite que um designer, parafraseando Gordon novamente, "empacote mais transistores" em um mesmo pacote. Isso permite que o designer exceda em muito as limitações de tamanho de um único pedaço de silício. O EMIB também permite o uso de silício de diferentes nódulos de processo em um pacote, permitindo que um designer escolha o melhor nó de processo para esse IP específico. A tecnologia Foveros da Intel estabeleceu a primeira capacidade ativa de empilhamento de silício logic-on-logic do setor, criando a capacidade de adicionar transistores lógicos em uma terceira dimensão. Ambas as conquistas representam uma mudança notável na forma como entregamos um número crescente de transistores por pacote. Quando combinadas, essas tecnologias podem alcançar um nível de integração nunca visto. Por exemplo, Ponte Vecchio, onde combinamos 47 telhas de silício em um único pacote, estabelece um novo benchmark para funcionalidade avançada de embalagem.

Nossas próximas evoluções do Foveros – Foveros Omni e Foveros Direct – oferecem novos dimensionamentos, novas tecnologias de interconexão e novas capacidades de mix-and-match. O Foveros Omni escala ainda mais o campo de interconexão para 25 mícrons e adiciona opções para o die de base múltipla. Este é um aumento aproximado de 4x na densidade sobre o EMIB, ao mesmo tempo em que expande nossa capacidade de misturar e combinar telhas de base. O Foveros Direct introduz a ligação direta de cobre com cobre sem solda, permitindo interconexões de baixa resistência e arremessos de lombadas de sub-10 mícrons. A capacidade de interconexão resultante abre novos horizontes no particionamento funcional de die que não eram anteriormente alcançáveis e a capacidade de empilhar verticalmente múltiplas camadas ativas de silício. À medida que essas tecnologias (e outras) chegam ao mercado, embalagens avançadas entregarão outra ferramenta para designers e arquitetos usarem em sua busca pela Lei de Moore.

Figura 4: Principais áreas de pesquisa

Inovação Amanhã: Pesquisa de Componentes

Como mencionei anteriormente, acredito que a inovação, juntamente com a demanda dos usuários finais, impulsiona a Lei de Moore. A Pesquisa de Componentes da Intel está focada em três áreas-chave de pesquisa (ver Figura 4) para fornecer os blocos de construção fundamentais para uma computação mais poderosa bem no futuro. Temos um pipeline completo de pesquisas em andamento que nos dá a confiança de que manteremos a Lei de Moore para a próxima década ou mais. As futuras inovações que alimentam a Lei de Moore são limitadas apenas pela nossa imaginação. Recentemente, no IEEE International Electron Devices Meeting (IEDM)5 traçamos várias áreas de inovação futura.

Um foco para nossa pesquisa são o dimensionamento de tecnologias que fornecem mais transistores na mesma área. Isso inclui avanços inovadores de litografia, como a auto-montagem direcionado (DSA, na sigla em inglês) de moléculas para melhorar a rugosidade da borda da linha e a precisão de colocação da borda 6. Também pesquisamos novos materiais, com apenas alguns átomos de espessura, para criar transistores mais finos, diminuindo seu tamanho geral. Além de inovações como essas, estamos estabelecendo capacidades viáveis para empilhar transistores verticalmente, monoliticamente, na mesma peça de silício ou como chiplets usando tecnologias avançadas de embalagem, como a ligação híbrida com campos de interface verticais cada vez mais decrescentes. Tendo novos materiais, inovações na arquitetura do transistor, avanços de litografia e invenções de embalagens como graus de liberdade, os designers só serão limitados por suas imaginações.

À medida que viabilizamos computação mais poderosa através do dimensionamento, precisamos trazer novas capacidades para o silício e esticar seus limites. Ao integrar novos materiais, podemos fornecer energia de forma mais eficiente e atender a maiores demandas de memória. Também estamos pesquisando materiais ferroelétricos e antiferroelétricos, que podem manter seu estado de carga baseado em um tipo diferente de física sem ter que depender de transistores de baixo vazamento. Inventamos uma nova arquitetura de memória baseada na física única dos materiais ferroelétricos que permite um aumento significativo na densidade de bits usando um transistor de acesso com múltiplos capacitores em paralelo. A memória ferroelétrica é uma forte candidata para um nível de memória densa incorporado entre cache e memória principal.

Também estamos abraçando o reino quântico, não apenas na forma de computação quântica, mas explorando novos conceitos em física e ciência dos materiais que podem um dia revolucionar a maneira como o mundo faz a computação. A progressão a longo prazo da Lei de Moore requer superar o crescimento exponencial dos requisitos de consumo de energia da computação atual baseada em CMOS7. Para continuar, serão necessárias soluções de energia ultra-baixa que usam efeitos quânticos em materiais (chamados materiais quânticos) a temperaturas ambientes. Em 2021, no IEDM, relatamos um grande marco na pesquisa de dispositivos além do CMOS: a primeira demonstração funcional de um dispositivo lógico de órbita de spin magneto-elétrico com seus componentes de leitura e gravação funcionais à temperatura ambiente. Tanto o módulo de saída da órbita de rotação quanto um módulo de entrada magnetoelétrica são integrados ao dispositivo, e as reversões do estado de magnetização são obtidas através da tensão de entrada aplicada. Com sua capacidade de realizar o portão majoritário de maior funcionalidade (versus NAND e NOR) três dispositivos MESO formando portões de maioria de energia ultra-baixo podem implementar um adder de 1 bit, o que de outra forma exigiria 28 transistores CMOS 8.

Figura 5: Lei de Moore número de transistor por dispositivo: passado, presente, futuro

Em Conclusão

A Lei de Moore prevê que o número de transistores por dispositivo dobrará a cada dois anos. A Lei de Moore é e sempre foi impulsionada pela inovação. A Figura 5 ilustra o número de transistores por dispositivo à medida que olhamos para o passado, o presente e o futuro. Nos primeiros 40 anos, os ganhos vieram principalmente de inovações em nosso processo. Daqui para frente, os ganhos virão de inovações tanto no processo quanto na embalagem. Nossos processos continuarão a fornecer melhorias históricas de densidade, enquanto nossas tecnologias de empilhamento 2D e 3D dão aos arquitetos e designers mais ferramentas para aumentar o número de transistores por dispositivo. À medida que esperamos por tecnologias inovadoras como High NA, RibbonFET, PowerVia, Foveros Omni e Direct, entre outras, não vemos fim à inovação e, portanto, não há fim para a Lei de Moore.

Em resumo, quando consideramos todos os diversos processos e inovações avançadas em embalagens, existem inúmeras opções disponíveis para continuar a dobrar o número de transistores por dispositivo na cadência exigida por nossos clientes. A Lei de Moore só pára quando a inovação pára, e a inovação continua inabalável na Intel em processo, embalagem e arquitetura. Permanecemos impassíveis em nossa aspiração de entregar aproximadamente 1 trilhão de transistores em um único dispositivo até 2030.

Ann B. Kelleher é vice-presidente executiva e gerente geral de Desenvolvimento tecnológico da Intel Corporation.

1 G. E. Moore, "Cramming mais componentes em circuitos integrados, reimpressos da Eletrônica, volume 38, número 8, 19 de abril de 1965, pp.114 ff.", no Boletim informativo IEEE Solid-State Circuits Society, vol. 11, n. 3, pp. 33-35, setembro de 2006, doi: 10.1109/N-SSC.2006.4785860. (vinculado em Intel.com)

algarismo Segundo a IDC, 267.906 Petabytes de dados são gerados todos os dias.

3 Projeção baseada na análise interna da Intel

4 G. E. Moore, "Cramming mais componentes em circuitos integrados, reimpressos da Eletrônica, volume 38, número 8, 19 de abril de 1965, pp.114 ff.", no Boletim informativo IEEE Solid-State Circuits Society, vol. 11, n. 3, pp. 33-35, setembro de 2006, doi: 10.1109/N-SSC.2006.4785860.

5 IEEE International Electron Devices Meeting (IEDM) é o fórum preeminente do mundo para relatar avanços tecnológicos nas áreas de tecnologia de semicondutores e dispositivos eletrônicos, design, fabricação, física e modelagem. IEDM é a principal conferência para tecnologia transistor CMOS em escala de nanômetros, memória avançada, displays, sensores, dispositivos MEMS, novos dispositivos quânticos e nano-escala e fenomenologia, optoeletrônica, dispositivos para colheita de energia e energia, dispositivos de alta velocidade, bem como tecnologia de processo e modelagem e simulação de dispositivos.

6 Desbloqueando o dimensionamento de tom com o EUV: abordagem complementar euv e DSA para definição de grade de vários arremessos, Eungnak Han, Gurpreet Singh, Tayseer Mahdi, Florian Gstrein, Intel Corp. (EUA). [11326-25]

7https://www.src.org/about/decadal-plan/ Plano Decadal: Turno Sísmico #5: "A crescente demanda de energia para computação versus produção global de energia está criando novos riscos, e novos paradigmas de computação oferecem oportunidades para melhorar drasticamente a eficiência energética".

8 Procedimentos do IEEE (Volume: 101, Edição: 12, Dez 2013). DOI: 10.1109/JPROC.2013.2252317