ID do artigo: 000073771 Tipo de conteúdo: Solução de problemas Última revisão: 09/07/2014

Por que recebo avisos de análise de temporização ao compilar o compilador IP para PCI Express em Stratix IV?

Ambiente

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descrição

    Ao executar a análise de temporização do compilador IP para PCI Express® no Stratix® IV, você verá avisos relacionados ao arquivo SDC (Auto-generated Synopsys Design Constraint).

    Resolução

    Para resolver cada aviso de restrição de análise de sincronização, siga a solução alternativa para o arquivo altera_pci_express.sdc listado abaixo.

    [Aviso]
    Aviso (332174): filtro ignorado em altera_pci_express.sdc(14): *refclk_export não pode ser compatível com uma porta ou pino ou registro ou guardião ou rede

    [Solução alternativa]
    Mudar altera_pci_express.sdc linha 14 a partir de
                    create_clock -período "100 MHz" -nome {refclk_pci_express} {*refclk_export}
    Para
                    create_clock -período "100 MHz" -nome {refclk_pci_express} [get_ports PCIE_REFCLK]

    [Aviso]
    Aviso (332174): o filtro ignorado em altera_pci_express.sdc(18): *central_clk_div0* não foi compatível com um clock
    Aviso (332174): o filtro ignorado em altera_pci_express.sdc(18): *_hssi_pcie_hip* não pôde ser compatível com um clock

    [Solução alternativa]
    Mudar altera_pci_express.sdc linha 18 a partir de
                    set_clock_groups -exclusive -group [get_clocks { *central_clk_div0* }] -group [get_clocks { *_hssi_pcie_hip* }]
    Para
                    set_clock_groups -exclusive -group [get_clocks *central_clk_div0* ] -group [get_clocks *_hssi_pcie_hip* ]

    Este problema não será corrigido em uma futura versão do software.

    Produtos relacionados

    Este artigo aplica-se a 2 produtos

    FPGA Stratix® IV GX
    FPGAs Stratix® IV

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.