Problema crítico
Quando você cria funções de comparação para o IP de ponto flutuante
núcleos na versão do software Quartus® II de 13.1, o arquivo de alto .vhd nível
é gerada sem a porta "b" necessária. Este .vhd arquivo
o erro só ocorre ao criar funções de comparação; outras funções
de altera_fp_functions não são afetados.
Este problema está corrigido na versão do software Quartus II 14.0.
Na versão do software Quartus II 13.1, quando você cria um
compare a função chamada cmp, o software gera um nível superior
arquivo chamado cmp.vhd; este arquivo está faltando o necessário
Porta "b". Você pode modificar cmp.vhd o seguinte para incluir
porta "b" necessária:
entity cmp is
port (
clk : in std_logic := \'0\';
-- clk.clk
areset : in std_logic := \'0\';
-- areset.reset
a : in std_logic_vector(31 downto 0) := (others
=> \'0\'); -- a.a
b : in std_logic_vector(31 downto 0) := (others
=> \'0\'); -- b.b
q : out std_logic_vector(0 downto 0)
-- q.q
);
end entity cmp;
architecture rtl of cmp is
component cmp_0002 is
port (
clk : in std_logic := \'X\';
-- clk
areset : in std_logic := \'X\';
-- reset
a : in std_logic_vector(31 downto 0) := (others
=> \'X\'); -- a
b : in std_logic_vector(31 downto 0) := (others
=> \'X\'); -- b
q : out std_logic_vector(0 downto 0)
-- q
);
end component cmp_0002;
begin
cmp_inst: component cmp_0002
port map(
clk => clk, -- clk.clk
areset => areset, -- areset.reset
a => a, -- a.a
b => b, -- b.b
q => q -- q.q
);end architecture rtl; -- of cmp