ID do artigo: 000077019 Tipo de conteúdo: Solução de problemas Última revisão: 18/12/2019

Por que o Intel® Arria® 10 e Intel® Cyclone® 10 Avalon®-ST ou Avalon®-MM para o relatório de design de exemplo PCI Express* IP ignoram avisos de restrição de SDC?

Ambiente

  • Intel® Quartus® Prime Pro Edition
  • Intel® Quartus® Prime Standard Edition
  • Hard IP para PCI Express* Intel® Arria® 10 Cyclone® 10
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descrição

    Ao compilar a interface Intel® Arria® 10 ou Intel® Cyclone® 10 Avalon®-ST ou Avalon®-MM para o projeto de exemplo DE PCI Express* gerado usando Intel® Quartus® o software Prime versão 19.4 ou anterior, os seguintes avisos de restrição de SDC ignorados serão vistos.

    Aviso(332174): o filtro ignorado em altera_xcvr_native_a10_false_paths.sdc(63): *twentynm_xcvr_native_inst|*inst_twentynm_pcs|*twentynm_hssi_*_pld_pcs_interface*|pld_10g_krfec_tx_pld_rst_n não foi compatível com um pino

    Aviso(332174): o filtro ignorado em altera_xcvr_native_a10_false_paths.sdc(53): *twentynm_xcvr_native_inst|*inst_twentynm_pcs|*twentynm_hssi_*_pld_pcs_interface*|pld_pmaif_tx_pld_rst_n não foi compatível com um pino

    Aviso(332049): set_max_skew ignorado em altera_pci_express.sdc(34): Argumento -com valor [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*]}] contém zero elementos

    Aviso(332049): set_max_skew ignorado em altera_pci_express.sdc(35): Argumento -com valor [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*]}] contém zero elementos

    Aviso(332049): set_max_delay ignorada em altera_pci_express.sdc(37): o argumento é uma coleção vazia

    Aviso(332174): o filtro ignorado em altera_pci_express.sdc(38): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync_1|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] não pode ser compatível com um clock ou guardião ou registro ou registro ou porta ou pino ou célula ou partição

    Aviso(332049): set_false_path ignorado em altera_pci_express.sdc(38): argumento não é uma ID de objeto

    Aviso(332174): filtro ignorado em altera_pci_express.sdc(39): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] não pode ser compatível com um clock ou guardião ou registro ou registro ou porta ou pino ou célula ou partição

    Aviso(332049): set_false_path ignorado em altera_pci_express.sdc(39): argumento não é uma ID de objeto

                 

    Esses avisos de restrição de SDC podem ser ignorados.

     

    Resolução

    O usuário pode ignorar com segurança esses avisos de restrição de SDC

    Produtos relacionados

    Este artigo aplica-se a 2 produtos

    FPGA Intel® Cyclone® 10 GX
    FPGAs Intel® Arria® 10 e FPGAs SoC

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.