ID do artigo: 000080504 Tipo de conteúdo: Solução de problemas Última revisão: 16/11/2020

Por que o tempo de compilação é inesperadamente longo no software Intel® Quartus® Prime Pro Edition versão 20.2 e anterior?

Ambiente

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    Devido a um problema no software Intel® Quartus® Prime Pro Edition versão 20.2 e anterior, você pode ver que o tempo de compilação é inesperadamente longo para projetos que visam Intel® Stratix® 10 dispositivos. Isso é devido a alguns controladores de regras lentos no Assistente de design e o fluxo do Assistente de design é habilitado por padrão.

    Resolução

    Para resolver este problema, desative o fluxo de DA:

    1. Verifique "Habilitar a execução do Assistente de projeto durante a compilação" nas configurações -> configurações de regra do Assistente de projeto ou
    2. Escreva a seguinte atribuição QSF no QSF do seu projeto:

    set_global_assignment -name FLOW_ENABLE_DESIGN_ASSISTANT ON

     

    Este problema é corrigido a partir do software Intel® Quartus® Prime Pro Edition versão 20.3.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    FPGAs Intel® Stratix® 10 e FPGAs SoC

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.