ID do artigo: 000085296 Tipo de conteúdo: Solução de problemas Última revisão: 01/10/2013

Por que estou vendo frequências incorretas de clock de saída ao simular a megafunção Altera_PLL?

Ambiente

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição Devido a um erro nos modelos de simulação no software Quartus® II através da versão 12.0, se você tiver vários clocks de saída habilitados na megafunção Altera_PLL, os resultados da simulação podem mostrar frequências de saída incorretas.  Isso afeta apenas o cálculo da frequência do clock de saída na simulação, o hardware não é afetado.
    Resolução Este problema é corrigido no software Quartus II versão 12.0sp1.

    Produtos relacionados

    Este artigo aplica-se a 10 produtos

    FPGA SoC Cyclone® V SX
    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA Stratix® V E
    FPGA Cyclone® V GX
    FPGA Stratix® V GT
    FPGA Stratix® V GS
    FPGA Arria® V GX
    FPGA Arria® V GT
    FPGA Cyclone® V E

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.