ID do artigo: 000085362 Tipo de conteúdo: Documentação e informações do produto Última revisão: 11/09/2012

Como posso conectar pinos de clock e clocks de saída PLL ao Bloco de controle de clock global em dispositivos Stratix III e Stratix IV?

Ambiente

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descrição

O mapeamento entre os pinos de clock de entrada, as saídas do contador PLL e as entradas do bloco de controle do clock é o seguinte para dispositivos Stratix® III e Stratix IV:

  • inclk[0] e inclk[1]— podem ser alimentados por qualquer um dos quatro pinos de clock dedicados no mesmo lado do dispositivo
  • inclk[2]— pode ser alimentado pelos contadores PLL C0 e C2 dos dois PLLs do mesmo lado do dispositivo
  • inclk[3]— pode ser alimentado pelos contadores PLL C1 e C3 dos dois PLLs do mesmo lado do dispositivo

Para seleção dinâmica dessas fontes de clock, você pode usar a megafunção ALTCLKCTRL em seu design.

As PLLs de canto (L1, L4, R1 e R4) e os pinos de entrada de clock correspondentes (PLL_L1_CLK e assim por diante) não suportam a seleção dinâmica para a rede GCLK.

A seleção de origem do clock para as redes GCLK e RCLK a partir das PLLs do canto (L1, L4, R1 e R4) e os pinos de entrada de clock correspondentes (PLL_L1_CLK e assim por diante) são controlados estáticamente usando configurações de bits de configuração no arquivo de configuração (.sof ou .pof) gerado pelo software Quartus® II.

 

Produtos relacionados

Este artigo aplica-se a 4 produtos

FPGAs Stratix® III
FPGA Stratix® IV GT
FPGA Stratix® IV GX
FPGA Stratix® IV E

O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.