ID do artigo: 000085704 Tipo de conteúdo: Solução de problemas Última revisão: 11/09/2012

Por que a lógica de controle para contadores é gerada incorretamente nas versões 4.1 e 4.1 SP1 do software Quartus® II?

Ambiente

  • Redefinir
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    A lógica de controle é gerada incorretamente devido a um problema nas versões 4.1 e 4.1 sp1 do software Quartus II, se as seguintes condições são atendidas:

    • Você instaule uma megafunção lpm_counter ou a megafunção lpm_counter é inferida do seu código HDL durante a síntese
    • O contador em seu design usa sinais claros assíncronos e predefinidos
    • Você compila os dispositivos de Stratix, Stratix GX, Cyclone®, MAX® II ou Hardcopy Stratix.®

    O comportamento incorreto deve-se a um problema com a implementação de caixa limpa da lpm_counter megafunção. Para evitar o problema se você tiver um contador em seu design que use os sinais claros predefinidos e síncronos assíncronos, copie o arquivo lpm_counter.tdf do diretório de instalação <Quartus II>\bibliotecas\megafunções para o diretório do projeto.

    Este problema é corrigido a partir do software Quartus II versão 4.2.

    A seguir, um exemplo de código implementado incorretamente nas versões 4.1 e 4.1 SP1 do software Quartus II. Neste exemplo, o sinal clr é removido na implementação final.

    process (clk, clr, preset) is
    
    begin
    
      if (preset = '0') then
    
       counter <= (others => '1');
    
      elsif rising_edge(clk) then
    
       if (clr = '1') then
    
         counter <= (others => '0');
    
       else
    
       counter <= counter  1;
    
       end if;
    
      end if;
    
    end process;
    
    

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    FPGAs Stratix®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.