ID do artigo: 000086665 Tipo de conteúdo: Solução de problemas Última revisão: 14/06/2021

Podemos conectar o clock de saída com terminação única gerado a partir do ALTPLL, configurado no "modo buffer de atraso zero" a um pino PLL_CLKOUTn de Intel® MAX® 10 FPGA?

Ambiente

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    Não. Devido à restrição de hardware, quando a ALTPLL de Intel® MAX® 10 FPGA estiver configurada no modo de buffer de atraso zero (ZDB) e o clock de saída for atribuído a um pino PLL_CLKOUTn configurado como padrão de E/S de terminada única, o usuário encontrará o seguinte erro:

    Erro (176557): Não é possível colocar PLL "pll_inst:pll_inst_inst|altpll:altpll_component|pll_inst_altpll:auto_generated|pll1" no dispositivo de destino devido a restrições do dispositivo

    Erro (176593): Não é possível colocar PLL "pll_inst:pll_inst_inst|altpll:altpll_component|pll_inst_altpll:auto_generated|pll1" no local pll PLL_1 -- o pino do clock de saída compensado "" do PLL deve ser colocado em E/S do clock de saída dedicado -- PLL está no modo de buffer de atraso zero

    Erro (176568): Não é possível colocar PLL "pll_inst:pll_inst_inst|altpll:altpll_component|pll_inst_altpll:auto_generated|pll1" no local pll PLL_1 porque a célula de E/S (porta do tipo CLK do PLL) tem uma atribuição de local incompatível com o pino de E/S PLL Pin_xx.

     

    Esta restrição é aplicável apenas ao modo de buffer de atraso zero no ALTPLL.

    Resolução

    Conecte o clock de saída ATLPLL ao PLL_CLKOUTpino p .

    O Intel® MAX® 10 clocking e o guia do usuário PLL estão programados para serem atualizados com este detalhe em uma versão futura.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    FPGAs Intel® MAX® 10

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.