ID do artigo: 000087012 Tipo de conteúdo: Solução de problemas Última revisão: 28/06/2012

Não é possível simular a negociação de taxa automática em modelos VHDL CPRI IP Core que visam Cyclone dispositivos IV GX

Ambiente

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descrição

    Se você gerar um modelo de simulação VHDL para o seu CPRI MegaCore função que visa um dispositivo Cyclone IV GX, você não pode usá-lo para simular a negociação de taxa automática.

    Este problema afeta toda a simulação da função CPRI MegaCore VHDL modelos com negociação de taxa automática habilitada que visam um Cyclone Dispositivo IV GX

    Este problema afeta apenas a simulação.

    Resolução

    Este problema não tem solução. Para simular a negociação de taxa automática, gerar e simular um modelo de simulação de HDL Verilog.

    Este problema é corrigido na versão 12.0 da função CPRI MegaCore.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Cyclone® IV FPGAs

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.