Exemplos de design do analisador de sincronização

author-image

Por

Esses exemplos mostram uma variedade de técnicas para restringir circuitos e relatar resultados de análise de temporização no analisador de temporização.

Restringindo

Esses exemplos de design mostram como restringir diferentes tipos de circuitos para o analisador de sincronização.

Exemplo básico de SDC

Este exemplo mostra o arquivo SDC mais simples que você pode usar que restringe todos os clocks, caminhos de entrada e caminhos de saída em um design.

Exceções multiciclos

Este exemplo mostra como fazer exceções multiciclos com comandos SDC. Ele inclui um circuito simples com uma exceção de multiciclos de 2.

Restrição de clocks gerados

Você deve restringir os clocks gerados em seu design. Este exemplo mostra como restringir uma divisão por 2 clocks e um clock gerado por loop de fase bloqueado (PLL).

Exemplos de multiplexador de clock

Este exemplo mostra como restringir clocks multiplexados em seu design.

Saída alinhada ao centro síncrono de origem

Este exemplo mostra como restringir um barramento de saída síncrono de fonte alinhado ao centro.

Saída alinhada com borda síncrona de origem

Este exemplo mostra como restringir um barramento de saída síncrono de fonte alinhado à borda.

Entrada alinhada ao centro síncrono de origem

Este exemplo mostra como restringir um barramento de entrada síncrono de origem alinhado ao centro.

Entrada alinhada com borda síncrona de origem

Este exemplo mostra como restringir um barramento de entrada síncrono de código-fonte alinhado à borda.

Ativar o multiciclo de clock

Este exemplo mostra como aplicar uma exceção multiciclo de um registro que alimenta o pino de habilitar o clock de um registro.

Clocks alimentando um pino

Este procedimento personalizado recebe uma lista de todos os clocks que conduzem um pino na lista de sincronização. Use-o para criar clocks dinamicamente quando outros clocks no design são desconhecidos.

Simplifique a reutilização do design com restrições dinâmicas de SDC

Técnicas para criar restrições de temporização para blocos HDL reutilizáveis quando sua instação e uso não são conhecidas pelo designer.

Relatórios

Esses exemplos mostram como realizar diferentes tipos de relatórios personalizados.

Script de relatório de sincronização personalizada

Este exemplo mostra como usar o arquivo de script Tcl para personalizar relatórios durante a compilação para gerar relatórios personalizados no Relatório de compilação de software Quartus® II.

Relatando várias condições operacionais

Este exemplo mostra como realizar uma análise multicorner no seu design com um script Tcl.

Registrar-se para registrar caminhos

Este exemplo mostra como gerar um relatório de caminho de registro para registro.

Relatando atrasos ponto a ponto

Este exemplo mostra como relatar um atraso para qualquer caminho ponto a ponto.

Relatando caminhos sem restrições

Este exemplo mostra como gerar um relatório de caminho sem restrições.

Informando o tempo de rede

Este exemplo mostra como gerar um relatório de atraso de sincronização líquida.

Relatórios de análises de clock com falha

Este exemplo mostra como relatar apenas análises de clock falhando para todas as condições operacionais.

Nomes de scripts e entidades e instâncias

Este exemplo mostra como lidar com nomes de entidades em scripts personalizados que usam get_registers, get_pins e get_cells.

Níveis de lógica de relatórios

Este exemplo mostra como criar um relatório personalizado que exibe o número de níveis de lógica para conjuntos de caminhos.

O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.