Intel® MAX® 10 FPGA — Primeiro design de FPGA para Intel MAX 10 FPGA placa NEEK da Terasic

Intel® MAX® 10 FPGA — Primeiro design de FPGA para Intel MAX 10 FPGA placa NEEK da Terasic

714687
5/17/2016

Introdução

Neste exemplo de projeto, você aprenderá como criar um projeto de FPGA na placa Intel® MAX® 10 FPGA NEEK da Terasic com o Software Quartus® II. Você entenderá o fluxo de projeto de um projeto FPGA e também estará ciente de como começar a usar a placa. Consulte o manual do laboratório para começar do zero ou baixe o exemplo de projeto para a solução.

Kit de desenvolvimento

Kit de desenvolvimento

Detalhes do projeto

Família de dispositivos

FPGAs Intel® MAX® 10

Quartus Edition

Intel® Quartus® Prime Standard Edition

Quartus Version

16.0

Outras tags

MAX® 10 NEEK

Núcleos IP (1)
Núcleo de IP Categoria do núcleo de IP
Avalon ALTPLL ClocksPLLsResets

Descrição detalhada

Prepare o modelo de projeto na GUI do Software Quartus® Prime (versão 14.1 e mais recente)


Nota: após baixar o exemplo de projeto, você deve preparar o modelo de projeto. O arquivo baixado é da forma de um arquivo <project>.par que contém uma versão compactada de seus arquivos de projeto (semelhante a um arquivo .qar) e metadados que descrevem o projeto. A combinação dessas informações é o que constitui um arquivo <project>.par. Nos lançamentos 16.0 ou mais recentes, você pode simplesmente clicar duas vezes no arquivo <project>.par e o Quartus iniciará esse projeto.


O segundo significa criar o modelo do projeto é por meio do Novo Assistente de projeto (Assistente de projeto > novo assistente de projeto). Após inserir o nome e a pasta do projeto no primeiro painel, o segundo painel pedirá que você especifique um projeto ou modelo de projeto vazio. Selecione o modelo de projeto. Você verá uma lista de projetos Design Templates que você carregou anteriormente, bem como vários "Baseline Pinout Designs" que contêm o pinagem e configurações para uma variedade de kits de desenvolvimento. Se você não encontrar seu modelo de projeto na lista, clique no link que afirma instalar os Design Templates circularam abaixo:



Navegue até o arquivo <project>.par baixado, clique em próximo, seguido de Finish, e seu modelo de projeto será instalado e exibido no painel Navegador do Projeto no Quartus.


Nota: quando um projeto é armazenado na Design Store como um modelo de projeto, ele é testado anteriormente com a versão declarada do software Quartus. A regressão garante que o modelo de projeto passe pelas etapas de análise/síntese/ajuste/montagem no fluxo de projeto do Quartus.



Prepare o modelo de projeto na linha de comando do software Quartus® Prime


Na linha de comando, digite o seguinte comando:

quartus_sh --platform_install -package <project directory>/<project>.par


Assim que o processo for concluído, digite:

quartus_sh --nome da plataforma <project>



Nota:

* Fornecedor: terceiros da Terasic

* Versão ACDS: padrão 16.0.0


Kit de desenvolvimento

Kit de desenvolvimento

Detalhes do projeto

Família de dispositivos

FPGAs Intel® MAX® 10

Quartus Edition

Intel® Quartus® Prime Standard Edition

Quartus Version

16.0

Outras tags

MAX® 10 NEEK