O Analisador de temporização suporta interfaces de aplicativos de coleta (APIs) que oferecem fácil acesso a portas, pinos, células ou nós no design. Você pode usar APIs de coleção com quaisquer restrições válidas ou comandos de linguagem de comando de ferramenta (Tcl) especificados no analisador de sincronização.
A Tabela 1 descreve os comandos de coleção suportados pelo analisador de sincronização.
Para obter mais informações sobre coletas, consulte o capítulo Do analisador de temporização ou o manual de referência da API do analisador SDC & Timing (PDF).
Tabela 1. Comandos de coleção
Comando |
Descrição |
---|---|
all_clocks |
Devolve uma coleção de todos os clocks no design. |
all_inputs |
Devolve uma coleção de portas de entrada no design. |
all_outputs |
Devolve uma coleção de todos os registros no design. |
get_cells |
Devolve uma coleção de células no design. Todos os nomes de células na coleção são compatíveis com o padrão especificado. Curingas podem ser usados para selecionar várias células ao mesmo tempo. |
get_clocks |
Devolve uma coleção de clocks no design. Quando usado como um argumento para outro comando, como o -de ou -para set_multicycle_path,cada nó no clock representa todos os nós clockados pelos clocks da coleção. O padrão usa o nó específico (mesmo que seja um clock) como alvo de um comando. |
get_keepers |
Devolve uma coleção de nós de keeper (nós não combinacionais) no design. |
get_nets |
Devolve uma coleção de redes no design. Todos os nomes líquidos na coleção são compatíveis com o padrão especificado. Você pode usar curinga para selecionar várias redes ao mesmo tempo. |
get_nodes |
Devolve uma coleção de nós no design. |
get_pins |
Devolve uma coleção de pinos no design. Todos os nomes de pinos na coleção combinam com o padrão especificado. Você pode usar curinga para selecionar vários pinos ao mesmo tempo. |
get_ports |
Devolve uma coleção de portas (entradas e saídas de projeto) no design. |
get_registers |
Devolve uma coleção de registros no design. |
O exemplo a seguir mostra vários usos dos comandos create_clock e create_generated_clock com comandos de coleção.
# Crie um simples 10 ns com clock com um ciclo de trabalho de 60 % create_clock -período 10 -waveform {0 6} -name clk [get_ports clk] # O multiciclo a seguir se aplica a todos os caminhos que terminam em registros # clocked por clk set_multicycle_path -para [get_clocks clk] 2