Analisador de sincronização criar comando de clock gerado

author-image

Por

O analisador de sincronização considera divisores de clock, clocks de ondulação ou circuitos que modificam ou alteram as características do clock de entrada ou host como clocks gerados. Você deve definir a saída desses circuitos como clocks gerados. Esta definição permite que o Analisador de Temporize analise esses clocks e contabilize qualquer latência de rede associada a eles.

Você pode usar o comando create_generated_clock para criar clocks gerados. A lista a seguir mostra o comando create_generated_clock e as opções disponíveis:

create_generated_clock
   [nome <clock>] -pino de <host> [lista de bordas
   <edge>]
   [-lista de edge_shift <>]
   [-divide_by <factor>]
   [-multiply_by <factor multiply_by <factor>]
   [->] [-duty_cycle <percent>]
   [-add] [-invert]
   [-host_clock <clock>]
   [-phase <phase>]
   [-offset <offset>]
   <source objects>

A Tabela 1 descreve as opções para o comando create_generated_clock comando.

As latências de origem são baseadas em atrasos na rede do clock do clock do host (não necessariamente o pino do host). Você pode usar o comando set_clock_latency-fonte para substituir a latência de origem.

A Figura 1 mostra formas de onda para os seguintes comandos SDC que criam um clock gerado invertido com base em um clock de 10 ns.

create_clock -período 10 [get_ports clk]
create_generated_clock -divide_by 1 -invertida -fonte [get_registers clk] \
    [get_registers geração|clkreg]

Figura 1. Gerando um clock invertido.

A Figura 2 mostra formas de onda para os seguintes comandos SDC que modificam o clock gerado usando as opções -edges e -edge_shift.

create_clock -período 10 -waveform { 0 5 } [get_ports clk] # Cria uma divisão por 2 clocks create_generated_clock -source [get_ports clk] - bordas { 1 3 5 } \ [get_registers clkdivA|clkreg] # Cria um clock dividido por 2 independente do ciclo de trabalho do clock host agora
50%) create_generated_clock
-source [get_ports clk] -bordas { 1 1 5 } -edge_shift  0 5 0 } \
    [get_registers clkdivB|clkreg]

Figura 2. Bordas e borda deslocando um clock gerado.

A Figura 3 mostra wafeforms para os seguintes comandos SDC que demonstram o efeito da opção -multiplique no clock gerado.

create_clock -período 10 -waveform { 0 5 } [get_ports clk] # Cria uma fonte de create_generated_clock de clock multiplicado por
2
[get_ports clk] -multiply_by 2 \
    [get_registers clkmult|clkreg]

Figura 3. Multiplicando um clock gerado.

O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.