Avanços da Intel impulsionam a lei de Moore além de 2025

A Intel visa a melhoria de densidade de mais de 10x em embalagens e melhorias de escala de 30% a 50% de lógica e olha além dos transistores de silício clássicos.

O que há de novo: Em sua incansável busca pela Lei de Moore, a Intel está revelando importantes avanços em embalagem, transistor e física quântica fundamentais para avançar e acelerar a computação bem na próxima década. Na IEEE International Electron Devices Meeting (IEDM) 2021, a Intel delineou seu caminho para uma melhoria de densidade de interconexão de mais de 10x em embalagens com ligação híbrida, melhoria de 30% a 50% na escala de transistores, grandes avanços em novas tecnologias de energia e memória e novos conceitos em física que podem um dia revolucionar a computação.

"Na Intel, a pesquisa e a inovação necessárias para avançar a Lei de Moore nunca param. Nosso Grupo de pesquisa de componentes está compartilhando os principais avanços de pesquisa no IEDM 2021 para trazer tecnologias revolucionárias de processos e embalagens para atender à demanda insaciável por computação poderosa de que nossa indústria e sociedade dependem. Este é o resultado do trabalho incansável de nossos melhores cientistas e engenheiros. Eles continuam na vanguarda das inovações para a continuação da Lei de Moore."

–Robert Chau, colega sênior da Intel e gerente geral de pesquisa de componentes

Por que isso importa: A Lei de Moore tem rastreado inovações na computação que atendem às demandas de cada geração de tecnologias, de mainframes a telefones móveis. Esta evolução continua hoje à medida que avançamos para uma nova era de computação com dados ilimitados e inteligência artificial.

A inovação contínua é a base da Lei de Moore. O Grupo de pesquisa de componentes da Intel está comprometido em inovar em três áreas principais: tecnologias de escalonamento essenciais para oferecer mais transistores; novas capacidades de silício para ganhos de energia e memória; e a exploração de novos conceitos na física para revolucionar a maneira como o mundo faz a computação. Muitas das inovações que romperam as barreiras anteriores da Lei de Moore e estão nos produtos atuais começaram com o trabalho de Pesquisa de Componentes – incluindo silício tenso, portas de metal Hi-K, transistores FinFET, RibbonFET e inovações de embalagem, incluindo EMIB e Foveros Direct.

Como estamos fazendo isso: Os avanços revelados no IEDM 2021 demonstram que a Intel está no caminho certo para continuar o avanço e os benefícios da Lei de Moore muito além de 2025 através de suas três áreas de pathfinding.

1. A Intel está pesquisando significativamente em tecnologias de escalonamento essenciais para oferecer mais transistores em futuras ofertas de produtos:

  • Pesquisadores da empresa têm delineado soluções para os desafios de projeto, processo e montagem da interconexão de ligação híbrida, visualizando uma melhoria de densidade de interconexão de mais de 10x nas embalagens. No evento Intel Accelerated em julho, a Intel anunciou planos para introduzir o Foveros Direct, permitindo pitches de colisão sub-10 mícrons, proporcionando uma ordem de aumento de magnitude na densidade de interconexão para empilhamento 3D. Para permitir que o ecossistema obtenha benefícios de embalagens avançadas, a Intel também está solicitando o estabelecimento de novos padrões do setor e procedimentos de testes para permitir um ecossistema de chiplet de união híbrida.
  • Olhando além de seu gate-all-around RibbonFET, a Intel está dominando a próxima era pós-FinFET com uma abordagem para empilhar vários transistores (CMOS) que visa alcançar uma melhoria maximizada de escalamento de lógica de 30% a 50% para o avanço contínuo da Lei de Moore, ajustando mais transistores por milímetro quadrado.
  • A Intel também está abrindo o caminho para o avanço da Lei de Moore na era dos angstroms com pesquisas de futuro mostrando como materiais novos de apenas alguns átomos de espessura podem ser usados para fazer transistores que superam as limitações dos canais convencionais de silício, permitindo milhões de transistores a mais por área de morte para uma computação cada vez mais poderosa na próxima década.

Baixe o infográfico completo

2. A Intel está trazendo novas capacidades para o silício:

  • Tecnologias de energia mais eficientes estão avançando através da primeira integração mundial de comutadores de energia baseados em GaN com CMOS baseados em silício em um wafer de 300 mm. Isso define o palco para entrega de energia de baixa perda e alta velocidade para CPUs, reduzindo simultaneamente os componentes e o espaço da placa-mãe.
  • Outro avanço é os recursos de leitura/gravação líderes do setor da Intel com baixa latência usando materiais ferroelétricos novos para possíveis tecnologias DRAM embarcadas de última geração que podem fornecer recursos de memória maiores para lidar com a crescente complexidade das aplicações de computação, desde jogos até IA.

3. A Intel está buscando desempenho maciço com computação quântica baseada em transistores de silício, bem como comutadores totalmente novos para computação extremamente eficiente em energia com novos dispositivos de temperatura ambiente. No futuro, essas revelações podem substituir transistores MOSFET clássicos usando conceitos inteiramente novos na física:

  • No IEDM 2021, a Intel demonstrou a primeira realização experimental do mundo de um dispositivo de lógica de spin-orbit (MESO) magnetoelétrico à temperatura ambiente, o que mostrou a possível fabricação de um novo tipo de transistor baseado na comutação de ímãs de nanoescala.
  • A Intel e o IMEC estão fazendo progressos com pesquisas de materiais spintronics para levar a pesquisa de integração de dispositivos perto de realizar um dispositivo de torque de giro totalmente funcional.
  • A Intel também apresentou fluxos completos de processo qubit de 300 mm para a realização da computação quântica escalável compatível com a fabricação de CMOS e identifica os próximos passos para futuras pesquisas.

Sobre a pesquisa de componentes: A Components Research, grupo de pesquisa de desenvolvimento de tecnologia Intel, é responsável por oferecer opções revolucionárias de tecnologia de processos e embalagens que estendem a Lei de Moore e permitem produtos e serviços da Intel. Mantém o pipeline de pesquisa e desenvolvimento da Intel repleto de trabalho com as unidades de negócios da empresa para antecipar as necessidades futuras e colaborar com grupos externos, desde laboratórios de pesquisa do governo dos EUA e consórcios do setor até grupos de pesquisa universitárias e fornecedores.

Mais contexto: transistores empilhados 3D: melhorando a área construindo uma área para cima (vídeo) | Foveros direto: tecnologia de embalagem avançada para continuar a lei de Moore (vídeo) | O Grupo de pesquisa de componentes da Intel inventa o revolucionário processo e a tecnologia do pacote (vídeo)

A impressão pequena:

Avisos legais

Todos os planos e roteiros de produtos e serviços estão sujeitos a alterações sem aviso prévio. Quaisquer previsões de bens e serviços necessários para as operações da Intel são fornecidas apenas para fins de discussão. A Intel não será responsável por fazer qualquer compra em conexão com as previsões publicadas neste documento. Os codinomes são frequentemente usados pela Intel para identificar produtos, tecnologias ou serviços que estão em desenvolvimento e o uso pode mudar com o tempo. Nenhuma licença (expressa ou implícita, por estoppel ou de outra forma) a quaisquer direitos de propriedade intelectual é concedida por este documento. O desempenho do produto e do processo varia de acordo com o uso, configuração e outros fatores. Saiba mais em www.Intel.com/PerformanceIndex e www.Intel.com/ProcessInnovation.

Referência a resultados de pesquisa, incluindo comparações com tecnologias, produtos, desempenho de processos ou pacotes são estimativas e não implicam disponibilidade. As datas de versão e/ou os recursos mencionados podem variar de acordo com o uso, configuração e outros fatores. Os produtos e serviços descritos podem conter defeitos ou erros que podem causar desvio das especificações publicadas. A errata caracterizada atual está disponível versus solicitação. A Intel isenta todas as garantias expressas e implícitas, incluindo, sem limitação, as garantias implícitas de comercialização, adequação a um propósito específico e não violação, bem como qualquer garantia decorrente do curso de desempenho, curso de negociação ou uso no comércio.

Declarações neste documento que se referem a planos ou expectativas futuras são declarações de futuro. Essas declarações se baseiam nas expectativas atuais e envolvem muitos riscos e incertezas que podem fazer com que os resultados reais diferem materialmente daqueles expressos ou implícitos em tais declarações. Para obter mais informações sobre os fatores que podem fazer com que os resultados reais diferem materialmente, consulte nossa versão de ganhos mais recentes e arquivos sec na www.intc.com.

O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.