ID do artigo: 000074756 Tipo de conteúdo: Solução de problemas Última revisão: 14/09/2011

pin_assignments.tcl contém nomes de pino incorretos em sistemas Qsys

Ambiente

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descrição

    Para sistemas gerados com Qsys, o script <variation_name>_pin_assignments.tcl faz não atribua nomes de pinos corretos. Esta situação ocorre porque o o nome da entidade atribuído pela Qsys ainda não é conhecido no tempo de geração quando o script <variation_name>_pin_assignments.tcl é gerada.

    Este problema afeta todas as configurações.

    Seu design não simula e não funciona em hardware.

    Resolução

    Após gerar seu núcleo de IP, edite o script <variation_name>_pin_assignments.tcl e alterar a set instance_name linha para especificar o correto nome da instância do seu controlador.

    Este problema não será corrigido.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Dispositivos programáveis Intel®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.