ID do artigo: 000075507 Tipo de conteúdo: Solução de problemas Última revisão: 20/05/2015

Testbench caui-4 de baixa latência de 40-100 GbE não pode simular com êxito no simulador ModelSim

Ambiente

  • Intel® Quartus® II Subscription Edition
  • Simulação
  • Ethernet
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descrição

    A Altera de teste fornecida pela Altera gerada com a Baixa Latência Variações do núcleo IP CAUI-4 de 40-100 GbE não podem simular com êxito no simulador ModelSim.

    Resolução

    Este problema não tem solução geral testada para todos os afetados Versões do núcleo IP. No entanto, a solução alternativa a seguir está disponível para a versão 15.0 do núcleo IP:

    1. Gere o testbench para seu IP CAUI-4 variação do núcleo. As instruções estão disponíveis na versão baixa Usuário de função Ethernet de 40 e 100 Gbps de latência e PHY MegaCore Guia.
    2. Abra o script run_vsim.do no local especificado no guia do usuário. O script inclui uma declaração que identifica o caminho para o arquivo msim_setup.tcl .
    3. Abra o arquivo msim_setup.tcl em um editor de texto.
    4. Nas e elab tarefas elab_debug , substitua o texto eval vsim -t ps pelo texto eval vsim -t 100fs.
    5. Execute o testbench de acordo com as instruções no guia do usuário. A bancada de teste deve simular com êxito.

    Este problema será corrigido em uma versão futura da Baixa Latência Função Ethernet MAC e PHY MegaCore de 40 e 100 Gbps.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Dispositivos programáveis Intel®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.