ID do artigo: 000075518 Tipo de conteúdo: Documentação e informações do produto Última revisão: 10/10/2014

Como devo conectar o coreclkout_hip ao pld_clk no Stratix V?

Ambiente

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descrição

No Stratix® IV Hard IP para PCI Express®, algumas configurações permitiram apld_clk a ser conduzido a partir de um PLL que era, por sua vez, derivado de coreclkout_hip.  Esta implementação não é suportada ao usar o Stratix V Hard IP.

Resolução

Para Stratix V, conecte pld_clk Para coreclkout_hip conforme mostrado na tabela de implementação de HARD IP dos sinais de clock da seção Sinais de clock do Stratix V Hard IP para o Guia do usuário PCI Express.

Produtos relacionados

Este artigo aplica-se a 3 produtos

FPGA Stratix® V GS
FPGA Stratix® V GT
FPGA Stratix® V GX

O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.