ID do artigo: 000075609 Tipo de conteúdo: Documentação e informações do produto Última revisão: 22/07/2013

Como inferir uma memória muito pequena ao usar o Quartus II Integrated Synthesis?

Ambiente

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descrição

Ao executar a síntese quartus® II, as memórias inferidas podem não ser mapeadas para as estruturas de memória de blocos tecnológicos se elas são muito pequenas. Esses limites são descritos no tópico Auto RAM to Logic Cell Conversion no capítulo Quartus II Integrated Synthesis (PDF) do Manual quartus II.

Resolução

Para inferir memória de bloco para memórias que são menores que esses limites, execute uma das seguintes opções:

  • Inclua a seguinte linha no arquivo de configurações do seu projeto Quartus II (.qsf):
    set_global_assignment nome ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON
  • Na GUI Quartus II, no menu Atribuições, clique em Configurações para abrir a caixa de diálogo Configurações, selecione a categoria Configurações de análise e síntese e clique em Mais Configurações. Ligue a configuração Permitir que qualquer tamanho de ROM seja reconhecido.

Produtos relacionados

Este artigo aplica-se a 1 produtos

Dispositivos programáveis Intel®

O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.