ID do artigo: 000075949 Tipo de conteúdo: Solução de problemas Última revisão: 25/06/2013

Erro de VHDL 10528 em < nome do componente>{}: o valor 0 está fora do intervalo de restrição de destino (1 a 2147483647)

Ambiente

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    Este erro pode ser visto durante a síntese dos sistemas Qsys gerados pela VHDL devido a um problema no software Quartus® II versão 13.0.   Um tipo de dados incorreto "positivo" é propagado pelo escritor de HDL, o que faz com que a declaração do componente VHDL seja incompatível.

    Resolução

    Para resolver este problema no software Quartus II versão 13.0:
    - Escolha Verilog para Síntese na GUI Qsys

    Ou

    - Edite < nomecomponente>_hw.tcl para o IP efetivado em um editor de texto e altere o tipo de parâmetro de "positivo" para inteiro"

    Este problema está programado para ser corrigido para uma versão futura do Software Quartus II.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Dispositivos programáveis Intel®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.