ID do artigo: 000076422 Tipo de conteúdo: Mensagens de erro Última revisão: 20/05/2020

Erro: erro de VHDL em auk_dspip_roundsat_hpfir.vhd(103): o valor "4294967295" está fora do intervalo de restrição de destino (-2147483848 a 2147483647)

Ambiente

  • Intel® Quartus® Prime Pro Edition
  • FIR II Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    Devido a um problema no software Intel® Quartus® Prime Pro Edition versão 19.2, o erro acima pode ser visto quando a largura de saída do fir ii Intel® FPGA IP é maior ou igual a 32 bits no modo de arredondamento.

    Resolução

    Para resolver este erro no software existente, defina o parâmetro IP "Arredondamento de saída LSB" para "Truncação", ou ainda use "Arredondamento", mas certifique-se de que a largura de saída seja menor que 32 bits.

    Este problema foi corrigido a partir do software Intel® Quartus® Prime Pro Edition versão 20.1.

    Produtos relacionados

    Este artigo aplica-se a 4 produtos

    FPGAs Intel® Arria® 10 e FPGAs SoC
    FPGAs Intel® Stratix® 10 e FPGAs SoC
    FPGAs Intel® Cyclone® 10
    FPGAs e FPGAs SoC Intel® Agilex™

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.