ID do artigo: 000076732 Tipo de conteúdo: Solução de problemas Última revisão: 06/11/2020

Por que a minha variante VHDL do eCPRI Intel® FPGA IP não é simulada em Synopsys VCS MX®?

Ambiente

  • Intel® Quartus® Prime Pro Edition
  • CPRI Intel®
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descrição

    Devido a um problema nas versões do software Intel® Quartus® Prime 20.1 e posteriores, ao usar uma variante VHDL do eCPRI Intel® FPGA IP em Synopsys VCS MX*, o compilador do simulador sinalizará erros afirmando que há incompatibilidades de tipo para o parâmetro "ADV_MAP".

    Resolução

    Para resolver este problema no Intel® Quartus® Prime versão 20.1 e posterior, siga as etapas abaixo:

     

    1) Localize o arquivo de embalagem de alto nível gerado do seu eCPRI Intel® FPGA IP aqui:

           /sim


     

    2) Altere cada string "ON" ou "OFF" atribuída ao parâmetro "ADV_MAP" para inteiro 1 ou 0, respectivamente, conforme mostrado abaixo:

     

    De

    ADV_MAP: string := "ON";

     

    Para

    ADV_MAP: inteiro := 1;

     

    De

    ADV_MAP => "OFF",

     

    Para

    ADV_MAP => 0,

     

    Este problema é corrigido a partir do software Intel® Quartus® Prime versão 20.3.

    Produtos relacionados

    Este artigo aplica-se a 2 produtos

    FPGAs Intel® Stratix® 10 e FPGAs SoC
    FPGAs Intel® Arria® 10 e FPGAs SoC

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.