ID do artigo: 000079430 Tipo de conteúdo: Solução de problemas Última revisão: 07/10/2016

O software Quartus Prime suporta bibliotecas IEEE fixed_pkg e float_pkg em VHDL-2008?

Ambiente

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    As bibliotecas IEEE fixed_pkg e float_pkg não são suportadas na edição Quartus® Prime Standard e na edição Lite.

    Você pode ver abaixo mensagens de erro na etapa análise e síntese:
    Erro (10481): erro da cláusula de uso de VHDL no nome do arquivo <>.vhd: a biblioteca de projetos "ieee" não contém a unidade principal "fixed_pkg". Verifique se a unidade principal existe na biblioteca e foi compilada com êxito.

    Ou

    Erro (10481): erro da cláusula de uso de VHDL no nome .vhd: a biblioteca de projetos "ieee" não contém a unidade principal "float_pkg". Verifique se a unidade principal existe na biblioteca e foi compilada com êxito.
     

    Resolução A edição Quartus Prime Pro suporta bibliotecas IEEE fixed_pkg e float_pkg.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Dispositivos programáveis Intel®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.