ID do artigo: 000083965 Tipo de conteúdo: Solução de problemas Última revisão: 28/01/2014

Por que eu recebo um erro fatal ao instalar o software Quartus II versão 13.0?

Ambiente

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    Devido a um problema no instalador Quartus® II, você pode ver este erro durante a instalação.

     

    Resolução

    Para resolver este problema:

    1. Durante a instalação, desligue a opção de instalar a Ajuda Quartus II, ModelSim-Altera e o DSP Builder.
    2. Após a conclusão da instalação do software Quartus II, instale a Ajuda Quartus II, o ModelSim-Altera e o DSP Builder.

    Este problema é corrigido a partir do software Quartus II versão 13.1.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Dispositivos programáveis Intel®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.