ID do artigo: 000084952 Tipo de conteúdo: Documentação e informações do produto Última revisão: 13/02/2014

Como implemento a opção de ponte de meia taxa para conexão a um controlador de memória de taxa completa?

Ambiente

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    Ao usar as versões do software Quartus® II antes da 11.0, a opção de ponte de meia taxa era um parâmetro selecionável no megawizard IP do controlador de memória.

    Ao usar as versões 11.0 e posteriores do software Quartus® II, a única opção Altera suportada por IP para a ponte de meia taxa é usar o componente SOPC Builder Avalon-MM DDR Memory Half-Rate Bridge. Isso pode ser usado em um projeto QSYS na versão mais recente do software Quartus® II.

    Para obter documentação em uma ponte de meia taxa, consulte o capítulo Avalon Pontes mapeadas de memória do Guia do usuário do SOPC Builder.

    Resolução

    Ao usar as versões 11.0 e posteriores do software Quartus® II, a única opção Altera suportada por IP para a ponte de meia taxa é usar o componente SOPC Builder Avalon-MM DDR Memory Half-Rate Bridge. Isso pode ser usado em um projeto QSYS na versão mais recente do software Quartus® II.

    Produtos relacionados

    Este artigo aplica-se a 23 produtos

    FPGA Arria® V GT
    FPGAs Stratix® III
    FPGA Stratix® IV GX
    FPGA Arria® II GX
    FPGA Arria® II GZ
    FPGA Stratix® IV GT
    FPGA Cyclone® V E
    FPGA Stratix® V E
    FPGA SoC Cyclone® V SX
    FPGA SoC Cyclone® V ST
    FPGA SoC Cyclone® V SE
    FPGA Arria® V SX SoC
    FPGA Arria® V ST SoC
    FPGA Stratix® IV E
    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA Cyclone® IV GX
    FPGA Cyclone® IV E
    FPGA Stratix® V GT
    FPGA Cyclone® V GX
    FPGA Stratix® V GS
    FPGA Arria® V GZ
    FPGA Arria® V GX

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.