ID do artigo: 000085803 Tipo de conteúdo: Solução de problemas Última revisão: 14/09/2011

Simulador ModelSim de 64 bits falha ao simular MAC de 10 GbE com exemplo de design XAUI PHY

Ambiente

  • Intel® Quartus® II Subscription Edition
  • Ethernet
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descrição

    Ao simular o MAC de 10 GbE com exemplo de design PHY XAUI no software de simulação ModelSim de 64 bits, a simulação falha. O exemplo de projeto contém o núcleo JTAG para Avalon Master Bridge que não suporta o software de simulação ModelSim de 64 bits.

    Este problema afeta o MAC de 10 GbE com o exemplo de design do PHY XAUI que contém o núcleo JTAG para Avalon Master Bridge.

    Resolução

    Execute o software de simulação ModelSim no modo de 32 bits para simular o MAC de 10 GbE com exemplo de design PHY XAUI.

    Este problema será corrigido em uma versão futura dos 10 Gbps Função Ethernet MAC MegaCore.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Dispositivos programáveis Intel®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.