ID do artigo: 000086210 Tipo de conteúdo: Mensagens de erro Última revisão: 01/04/2013

Erro:número de versão esperado, mas conseguiu 12.1sp1

Ambiente

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descrição

    Devido a um problema no software Quartus II versão 12.1 SP1 e anterior, a guia Parâmetros de instância de Qsys mostra um modelo de script Tcl incluindo a linha:

    package require -exact qsys 12.1sp1

    Esta versão está incorreta. As versões do pacote estão disponíveis apenas para versões importantes, como 12.1, não para pacotes de serviço, como 12.1sp1.

    Resolução

    Para resolver este problema, mude a versão para a versão principal correspondente, por exemplo, 12.1.

    Este problema está programado para ser corrigido em uma versão futura do software Quartus II.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Dispositivos programáveis Intel®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.