ID do artigo: 000090673 Tipo de conteúdo: Solução de problemas Última revisão: 11/04/2023

Por que meu design falha no hardware ao usar o software Intel® Quartus® Prime Pro Edition versão 22.1?

Ambiente

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descrição

    Devido a um problema no software Intel® Quartus® Prime Pro Edition versão 22.1, você pode ver falhas no hardware quando a mensagem de aviso abaixo é exibida durante a fase de análise e síntese. Os designs afetados têm uma incompatibilidade entre a RTL e a netlist sintetizada.

    Os projetos afetados pelo problema de síntese verão o seguinte aviso no arquivo de relatório de síntese (*.syn.rpt).

    Aviso (13228): aviso verilog HDL ou VHDL em <file>: o defparam em escopo de geração não pode alterar os valores de parâmetro fora de sua hierarquia Arquivo: <file>

    Resolução

    Um patch está disponível para resolver este problema para o software Intel® Quartus® Prime Pro Edition versão 22.1. Baixe e instale o patch 0.05 a partir do link apropriado abaixo.

    Este problema é corrigido em todas as releases futuras do software Intel® Quartus® Prime Pro Edition a partir de 22.1.

    Produtos relacionados

    Este artigo aplica-se a 1 produtos

    Dispositivos programáveis Intel®

    O conteúdo desta página é uma combinação de tradução humana e por computador do conteúdo original em inglês. Este conteúdo é fornecido para sua conveniência e apenas para informação geral, e não deve ser considerado completo ou exato. Se houver alguma contradição entre a versão em inglês desta página e a tradução, a versão em inglês prevalecerá e será a determinante. Exibir a versão em inglês desta página.